Free resource for electronic component datasheets

Chip data Inventory inquiry Alternative model

U74LVC373 Datasheet, PDF

  • Manufacturer model: U74LVC373
  • Function description: OCTAL TRANSPARENT D-TYPE LATCHES WITH 3-STATE OUTPUTS
  • Manufacturer: 友顺-UTC
  • Data sheet:
  • Category: 总线收发器

U74LVC373 Suppliers

*Submit information and send RFQ to all vendors on the following list

No Date

    U74LVC373 Similar Part

    • U74LVC373 OCTAL TRANSPARENT D-TYPE LATCHES WITH 3-STATE OUTPUTS 友顺-UTC 6827 0

    U74LVC373 Chip related model

    Business contact email: info@finddatasheet.com